Quartus+Modelsim实现分频器——偶(6)分频


前言

时钟对fpga来说是十分重要的,但板载晶振提供的时钟信号的频率是固定的,不一定能满足工程的需求,于是使用分频或倍频来产生需要的时钟。

分频器是数宇系统设计中最常见的基本电路之一。所谓“分频”,就是把输入信号的频率变成成倍数地低于输入频率的输出信号。

分频器分为偶数分频器和奇数分频器,和计数器非常类似,有时候甚至可以说就是一个东西。以计数器visio图示为例,输出信号的一个周期对应输入信号的若干个周期。
在这里插入图片描述


一、代码

1.rtl代码

代码如下(示例):

module divider_six
(
input wire sys_clk,
input wire sys_rst_n,
output reg clk_out

);
//声明寄存器变量
reg [1:0] cnt;
always@(posedge sys_clk or negedge sys_rst_n)
    if(sys_rst_n == 1'b0)
        cnt<=2'd0;
    else if(cnt == 2'd2)
        cnt<=2'd0;
    else
        cnt<=cnt+2'd1;
        
always@(posedge sys_clk or negedge sys_rst_n)
    if(sys_rst_n == 1'b0)
        clk_out<=1'b0;
    else if(cnt == 2'd2)
        clk_out<=~clk_out;
    else//复位信号为高无效且没有记数到最大值
        clk_out<=clk_out;//保持为原来的电平
endmodule

2.RTL Viewer

在这里插入图片描述
可以看出与计数器差别不大,只是位宽不同。

3.仿真代码

`timescale 1ns/1ns
module tb_divider_six();
reg sys_clk;
reg sys_rst_n;
wire clk_out;

initial
    begin
    sys_clk=1'b1;
    sys_rst_n<=1'b0;
    #20
    sys_rst_n<=1'b1;
    end

always #10 sys_clk=~sys_clk;

divider_six divider_six_inst
(
.sys_clk  (sys_clk),
.sys_rst_n(sys_rst_n),
.clk_out  (clk_out)
);

endmodule

三、仿真结果

在这里插入图片描述
将120ns转化为8.333MHz,可以看出:8.333MHz为50MHz的6分频。

  • 9
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论
QuartusModelSim都是Altera公司的EDA工具,可以用来设计和仿真FPGA电路。下面是Quartus分频器设计与仿真的步骤: 1. 打开Quartus软件,新建一个工程,添加一个VHDL文件。文件中包含了分频器的逻辑设计,可以使用时钟分频器、计数器等元件实现。 2. 在工程中添加一个testbench文件,用于模拟分频器的输入信号,包括时钟信号和分频比设置信号。 3. 在testbench文件中实例化分频器模块,并将时钟信号和分频比设置信号连接到分频器模块的输入端口上。 4. 对时钟信号和分频比设置信号进行仿真模拟,记录下分频器模块的输出信号。 5. 运行仿真,检查分频器模块的输出信号是否符合预期。如果不符合,可以修改设计和仿真参数,重新运行仿真,直到满足要求。 6. 如果分频器模块的输出信号符合预期,可以将设计文件合成为一个.bit文件,烧录到FPGA芯片中进行实际测试。 在Quartus中进行仿真时,也可以使用ModelSim工具进行仿真。步骤如下: 1. 在Quartus中打开ModelSim仿真工具,创建一个新的仿真工程。 2. 将分频器的设计文件和testbench文件添加到仿真工程中。 3. 设置仿真参数和时钟周期,运行仿真,记录下分频器模块的输出信号。 4. 检查分频器模块的输出信号是否符合预期,如果不符合,可以修改设计和仿真参数,重新运行仿真,直到满足要求。 5. 如果分频器模块的输出信号符合预期,可以将设计文件合成为一个.bit文件,烧录到FPGA芯片中进行实际测试。 需要注意的是,QuartusModelSim都是非常强大的EDA工具,需要掌握一定的VHDL编程和FPGA设计技能,才能进行有效的设计和仿真。同时,也需要对时序和时钟分频等基本原理有一定的理解。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小年痴槑

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值