6分频(二)

文章介绍了在高速系统中,直接使用6分频的clk_out作为低频时钟可能存在的问题,因为它并未连接到全局时钟网络。为解决此问题,文章提出采用脉冲标识信号flag。提供了一段rtl代码实现,包括计数器和flag的设置,以及测试代码进行验证。通过仿真,结果显示方法有效,与预期的六分频波形一致。
摘要由CSDN通过智能技术生成


前言

如果将6分频(一)中的clk_out直接当作低频时钟来用并不严谨,它和真正的系统时钟还是有所区别的,这种低频信号并没有连接到全局时钟网络上,其衍生的问题在低速系统中不易察觉,但在高速系统中会很容易出现问题,这时使用脉冲标识信号flag从而解决这个问题。
在这里插入图片描述


一、代码

1.rtl代码

代码如下(示例):

module divider_six
(
input wire sys_clk,
input wire sys_rst_n,
//output reg clk_out
output reg clk_flag
);
//声明寄存器变量
reg [2:0] cnt;
/* always@(posedge sys_clk or negedge sys_rst_n)
    if(sys_rst_n == 1'b0)
        cnt<=2'd0;
    else if(cnt == 2'd2)
        cnt<=2'd0;
    else
        cnt<=cnt+2'd1;
        
always@(posedge sys_clk or negedge sys_rst_n)
    if(sys_rst_n == 1'b0)
        clk_out<=1'b0;
    else if(cnt == 2'd2)
        clk_out<=~clk_out;
    else//复位信号为高无效且没有记数到最大值
        clk_out<=clk_out;//保持为原来的电平 */
        
always@(posedge sys_clk or negedge sys_rst_n)
    if(sys_rst_n == 1'b0)
        cnt<=2'd0;
    else if(cnt == 3'd5)
        cnt<=2'd0;
    else
        cnt<=cnt+2'd1;
        
always@(posedge sys_clk or negedge sys_rst_n)
    if(sys_rst_n == 1'b0)
    clk_flag<=1'b0;
    else if(cnt == 3'd4)//最大值-1
    clk_flag<=1'h1;//保持一个时钟周期高电平
    else
    clk_flag<=1'b0;

endmodule

2.RTL视图

在这里插入图片描述

2.测试代码

代码如下(示例):

`timescale 1ns/1ns
module tb_divider_six();
reg sys_clk;
reg sys_rst_n;
wire clk_flag;

initial
    begin
    sys_clk=1'b1;
    sys_rst_n<=1'b0;
    #20
    sys_rst_n<=1'b1;
    end

always #10 sys_clk=~sys_clk;

divider_six divider_six_inst
(
.sys_clk  (sys_clk),
.sys_rst_n(sys_rst_n),
.clk_flag  (clk_flag)
);

endmodule

三、仿真结果

在这里插入图片描述

可以看出:与绘制波形图一致,且为六分频。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

小年痴槑

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值