vivado之TCL

打开综合后的网表文件:open_run synth_1
得到debug core:get_debug_cores
open_run impl_1
report_timing_summary -name timing1
set_param general.maxThreads 8

linux脚本运行vivado,Vivado使用技巧
https://wenku.baidu.com/view/57feef30856fb84ae45c3b3567ec102de2bddfe3.html

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值