VIVADO vhdl verilog 实现矩阵运算

2 篇文章 2 订阅

FPGA开发过程中可能用到矩阵算法运算,这里介绍一个相对比较简单的方式
比如一个32*32的矩阵结构为:
在这里插入图片描述
我们可以用两个RAM和一个运算单元及一个输出缓存RAM进行缓存结果:
具体的框架为下入所示:
在这里插入图片描述

存放的顺序为:
在这里插入图片描述
程序主要就是地址的控制了,我们可以用3个简单的计数器,通过控制好取数的坐标即可:
在这里插入图片描述
注意高低位的顺序,

验证:对于这种大位宽的数据运算的设计,最好借用matlab产生测试数据,然后对比计算结果:
在这里插入图片描述

最终我们可以把结果同样写会到txt文本中:
在这里插入图片描述
直接查看结果即可

完!

  • 6
    点赞
  • 51
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值