PrimeTime Labs学习笔记(二)

// 本文对应PrimeTime2018.06 Lab2的学习

  • 使用report_analysis_coverage命令来显示timing check覆盖率的summary报告,加上其它选项后显示对应详细的报告。

  •  使用report_port命令来显示端口的信息。注意input_delay/output_delay都是相对时钟设定的。

  •  report_timing时可以通过-from/-to选项指定startpoint和endpoint。

  •  通过report_timing -path full_clock可以将时钟路径详细延迟打印出来。在下面的报告中,时钟的network latency的值可以从报告中计算到:0.768=15.768-15.000。

  • 2
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值