加深对Verilog中x态和z态的认知

一、什么是x态和z态

x态:表示Unknown,仿真发生了不能解决的逻辑冲突

z态:表示HiZ、High Impedance、Tri-State、Disabled Driver

——《Verilog编程艺术第7章》

二、仿真时为什么会产生x态和z态

产生x态原因之一:四态逻辑的默认初始值为x态,如果未对逻辑复位(reg或者ram),那么为x态;

产生x态原因之二:后仿真,时序违例后使用notifier将输出端口置为x态;

产生x态原因之三:线网信号存在多个驱动,多个驱动之间相互冲突

产生x态原因只四:功能仿真时取数组值时index越界;

......

产生z态原因之一:综合把部分逻辑优化,导致网表中的输出端口无驱动。

......

example:

module dut ();

    wire undriven_sig;
    wire multidrv_sig;

    logic a = 1; logic b=0;

    assign multidrv_sig = a;
    assign multidrv_sig = b;

endmodule

三、x/z态和posedge/negedge怎么关联

《IEEE Std 1364™-2005》

如上图,核心思想即把x态即当成0,又当成1;把z态即当成0,又当成1。

  • 24
    点赞
  • 124
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值