<Verilog实现加法器>半加器和全加器———持续更新版

一,内容介绍

加法器是数字电路中的最基础电路之一,也是CPU的核心功能之一。
在这个专栏,我会把所有我知道的数字电路的加法器相关模型都实现一遍并解释其原理。
编程使用的语言为Verilog,代码风格为强迫症系列风格。

加法器系列链接:
上一篇 数字电路基础知识
目前:半加器和全加器
下一篇 四位行波加法器设计

二,半加器

根据第一篇知识我们可以知道,数字电路中的异或和二进制加法的计算结果完全一致,因此,我们可以设计最简单的加法器结构:半加器。
所谓半加器,即不产生进位的加法器,是数字电路中的最基础计算单元。

module HALF_ADDER (
input a_i, b_i, 
output wire c_o
);

assign c_o = a_i ^ b_i;

endmodule

三,全加器

全加器即产生进位的加法器,输出有两位。加法器什么时候会有进位呢?显然,当加法器的三个输入有两个为1的时候,加法产生进位,由此,我们可以进一步将半加器完善为全加器。

module FULL_ADDER (
input a_i, b_i, c_i,
output wire [1:0] c_o
);

assign c_o[0] = a_i ^ b_i ^ c_i;
assign c_o[1] = (a_i && b_i) || (a_i &&a
  • 6
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog加法器是一种用于数字电路中进行法运算的组合逻辑电路。它可以分为1位数加法器和多位数加法器,其中1位数加法器又可以分为半全加器。半全加器是完成1位二进制相的基本单元。 半是一个组合逻辑电路,用于完成两个1位二进制数相的运算。它不考虑来自低位的进位,只将两个二进制数相得到一个和值和一个进位值。 全加器是另一种组合逻辑电路,用于完成两个1位二进制数与来自低位的进位的三者相的运算。它将两个二进制数和进位值相得到一个和值和一个进位值。 在Verilog中,可以使用以下代码表示全加器: ``` module full_add( input A, B, cin, //三输入,有进位输入 output sum, cout //法结果和进位输出 ); assign sum = A ^ B ^ cin; assign cout = (A & B) | (A & cin) | (B & cin); endmodule ``` 同样地,在Verilog中,可以使用以下代码表示半: ``` module half_add( input A, B, //两输入,无进位输入 output sum, cout //法结果和进位输出 ); assign sum = A ^ B; assign cout = A & B; endmodule ``` 这些Verilog代码定义了输入、输出和逻辑运算,通过逻辑运算实现加法器的功能。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [verilog学习:加法器](https://blog.csdn.net/haojie_duan/article/details/113076458)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] - *2* *3* [Verilog中的加法器(半全加器,串行、超前进位加法器)](https://blog.csdn.net/weixin_61818219/article/details/122121851)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值