Verilog入门——半加器电路模块的Verilog描述以及详解

Verilog入门——半加器电路模块的Verilog描述以及详解

  • 半加器Verilog语言:
module h_adder (A,B,SO,CO);	//定义模块名h_adder(half adder)
	input A,B;				//定义输入端口A,B
	output SO,CO;			//定义输出端口SO(和值,Sum),CO(进位,Carry)
	assign SO = A ^ B;     	//将变量A和B执行异或的结果赋值给输出信号SO
	assign CO = A & B;		//将变量A和B执行与的结果赋值给输出信号SO
endmodule					//结束模块
  • 半加器电路结构
    在这里插入图片描述
  • 半加器真值表

在这里插入图片描述

  • 半加器时序波形

在这里插入图片描述

  • 10
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

吴不言不言

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值