脉冲同步器

verilog实现上面的电路:

module pulse_syc(
    input sclk_1,
    input sclk_2,
    input p_in,
    output p_out,
    output p_out1
);
    reg p_in_reg=0;
    reg delay0,delay1,delay2;
    wire mux_2;
    assign mux_2=(p_in==1'b1)?~p_in_reg:p_in_reg;

    always@(posedge sclk_1)
        p_in_reg<=mux_2;
   
    assign p_out1=p_in_reg;
   
    always@(posedge sclk_2)
        {delay2,delay1,delay0}<={delay1,delay0,p_in_reg};
       
    assign p_out=delay2^delay1;
endmodule

使用条件:输入脉冲的间隔至少是两个同步器时钟周期
快时钟到慢时钟仿真结果:
间隔两个同步器时钟周期时:
间隔小于两个同步器时钟周期时:


慢时钟到快时钟仿真结果:
间隔大于两个同步器时钟:
间隔小于两个同步器时钟:


  • 2
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值