FPGA学习之路——FIFO读写

300000ps时,PLL模块初始化完成,可以看到clk_20m,clk_100m,clk_sdram的波形了。

新建IPFIFO

clk_20m       

rdclk

FIFO时钟

sdram_wr_ack  

(rdreq)

FIFO读请求信号

sys_data_in    

(data)

FIFO读出的数据

clk_100m    

(rdclk)

FIFO时钟

write_fifo_req       

(wrreq)

表示FIFO写请求信号

write_fifo_data_in      

wrusedw

写入FIFO的数据

wrf_use                (wrusedw)

(q)

当前FIFO队列里存在的数据个数(一般会用到存入个数,而不会用rdusedw(读出个数))

 

先看写FIFO的过程,每一个时钟(clk_100m)上升沿,判断写请求信号是否为高电平

如果为高电平

(1)在该上升沿时,数据线上的数据写入FIFO,

(2)在下一个时钟上升沿,wrf_use增加1,表示FIFO队列里的数据增加了一个。

 

在每个读时钟的上升沿,判断两个条件

(1)读请求信号是否为高电平,

(2) FIFO是否为空

如果高、不为空,那么在下一个read_clock的上升沿将数据读出,具体可看下图:

不难发现,第一个read_clock上升沿,FIFO为空;第二个上升沿,FIFO不为空,准备开始读出数据;第三个上升沿,读出数据,同时wrf_use要减1

wrf_use是由write_clock维护的,故在下一个写时钟的上升沿,更新wrrf_use 8变成7)。

****************************************************************************************************

再看一副图,下一个8*16bit 数据,道理还是和上面的一样。

下面看一下读请求为低电平的情况

不难看出,wrf_use随着数据的写入而增加

在累积了一段时间数据后,又迎来了读请求信号,看下图:(还是:上升沿判断,下一个上升沿读取。。。),不再赘述

 

  • 10
    点赞
  • 41
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
FPGA串口FIFO是指在FPGA平台上使用Verilog语言编写的,通过Quartus II编译通过的模块,其主要功能是接收串口数据并利用内部的FIFO(First In First Out)来保存数据,并传输给上位机。FIFO是一种数据缓冲器,实现了先入先出的读写方式。根据读写时钟是否相同,FIFO可分为单时钟FIFO(SCFIFO)和双时钟FIFO(DCFIFO)。单时钟FIFO常用于同步时钟系统的片内数据交互,而双时钟FIFO常用于异步时钟系统。在FPGA,可以使用厂家提供的FIFO IP模块来实现串口FIFO读写功能。具体的Verilog代码可以参考引用的例子。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [fpga串口通信,利用fifo转发](https://download.csdn.net/download/yangliuqingfengge/10306726)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [FPGA——FIFO](https://blog.csdn.net/a17377547725/article/details/127340384)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值