Vivado 2019.1 生成bit文件报错解决

53 篇文章 22 订阅 ¥99.90 ¥299.90
本文档描述了在Vivado 2019.1中遇到的布局布线错误,该错误发生在FPGA设计中。通过在XDC文件中设置伪路径,即设置`CLOCK_DEDICATED_ROUTE`为`FALSE`,成功解决了ADC时钟路由问题,从而能够正确生成bit文件。作者提供了详细的约束设置示例,并邀请读者在遇到类似问题时进行交流讨论。
摘要由CSDN通过智能技术生成

1 开发环境

软件版本:vivado 2019.1

FPGA版本:xilinx K7 FPGA

2 遇到问题

1)使用vivado建立工程,添加代码、添加约束、综合、布局布线,生成bit文件。

2)vivado 布局布线时工程报错,错误提示如下:

[Place 30-574] Poor placement for routing between an IO pin and BUFG. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule.
< set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets ADC_top_inst/adc_group[0].ads1675_top_inst/diff_to_single_inst0/adc_user_clk] >
ADC_top_inst/adc_group[0].ads1675_top_inst/diff_to_single_inst0/IBUFDS_inst1 (IBUFDS.O) is locked to IOB_X0Y36
and ADC_top_inst/adc_group[0].ads1675_top_inst/adc_user_clk_BUFG_inst (BUFG.I) is provisionall

  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

宁静致远dream

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值