基于FPGA实现Aurora高速串行接口

53 篇文章 22 订阅 ¥99.90 ¥299.90

0 本文目录

1)Aurora简介

2)FPGA简介

3)系统设计

4)逻辑设计

5)模块设计

6)Aurora IP核简介

7)Aurora IP核定制

8)Aurora协议特点

9)结束语

1 Aurora简介

1)采用并行方式传输高速的数据流有很多设计难点,未来高速数据传输主要采用串行方式传输, Xilinx公司也推出了内嵌高速串行收发器Rocket I/O的FPGA产品 ,并在此基础上开发了Aurora协议。

2)Aurora协议是由Xilinx 公司提出的开放、免费、轻量级的可配置数据链路层协议,主要用于在多个高速串行通道之间进行点对点的数据传输,由于具有高速数据传输和简单易用的特点而得到了系统设计者的关注。其设计目的是使其他高层协议可以很简单地运行在Aurora之上,其中Aurora IP核支持与光纤的无缝连接,传输过程中抗电磁干扰能力强,集成度高,具有很强的灵活性。目前的光模块速率已经达到10Gbps及以上。

2 FPGA简介

1)现场可编程门阵列(FPGA),FPGA是可重新编程的硅芯片。

2)FPGA优点:与DSP和ARM相比,FPGA在数据采集领域有着极其重要的地位。FPGA具有时钟频率高、内部延时小、纯硬件并行控制、运算速度快、编程配置灵活、开发周期短、抗干扰能力强、内部资源丰富等优点,非常适用于实时高速数据采集。

3 系统设计

1)整个系

  • 4
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

宁静致远dream

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值