UVM-实例调试

搭建一个简单平台

每个类都有类继承声明
每个类都有注册声明

  • 使用component注册:test,env,scoreboard,agent,driver,monitor
  • 使用object注册:sequence,sequence_item
    每个类有new构造器

Makefile

all: compile simulation
compile:
<tab>vcs -sverilog -ntb_opts uvm -timescale=1ns/1ns +define+UVM_NO_DPI <编译文件>
simulation:
<tab>./simv -l sim.log +UVM_TESTNAME=<testcase class> +UVM_VERBOSITY=UVM_MEDIUM
clean:
<tab>rm -rf simv* csrc* *.log *.key core* *.vpd *.vcd

my_transaction

继承于uvm_sequence_item;

my_sequence

task body()中,主义注意11行和17行s括号的内容在这里插入图片描述

my_sequencer

typedef uvm_sequencer #(transaction) my_sequencer;

my_monitor

无错误

my_driver

注意:
在这里插入图片描述

master_agent

注意:
在这里插入图片描述

my_env

agent的例化(句柄和对象的create创建)

my_test

env的例化(句柄和对象的create创建)
configure机制配置default_sequence
在这里插入图片描述

test

uvm_pkg的import
编译文件的`include

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值