vscode配置iverilog时模块实例化报错,include模块文件后仍然报错无法include文件

发现问题
按照网上设置方法设置后发现在vscode中还是无法使用插件Verilog-HDL/SystemVerilog/Bluespec SystemVerilog调用iverilog自动检错

解决方法
这一处的设置网上都只做基本只提到这两处,分别是将工具选择为iverilog和屏蔽iverilog因为链接的报错
还需再设置Verilog >Linting lverilog: Run At File Location,,,,即可

结语
各种软件设置环境有时出现小问题但无法解决总令人很抓狂,很多时候在网上看到别人输出的解决方案,很是节省了时间。因此,虽然这是个很小的点,希望有用。
————————————————
版权声明:本文为CSDN博主「远行者223」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。
原文链接:https://blog.csdn.net/weixin_42193451/article/details/127799939

  • 2
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值