「Verilog学习笔记」任意小数分频

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

`timescale 1ns/1ns

module div_M_N(
    input  wire clk_in,
    input  wire rst,
    output wire clk_out
);
    parameter M_N = 8'd87; 
    parameter c89 = 8'd24; // 8/9时钟切换点
    parameter div_e = 5'd8; //偶数周期
    parameter div_o = 5'd9; //奇数周期
//*************code***********//
    reg [3:0] clk_cnt ; 
    reg [6:0] cyc_cnt ;
    reg div_flag ; 
    reg clk_out_r ; 

    always @ (posedge clk_in or negedge rst) begin 
        if (~rst) clk_cnt <= 0 ; 
        else if (~div_flag) clk_cnt <= clk_cnt == (div_e - 1) ? 0 : clk_cnt + 1 ; 
        else clk_cnt <= clk_cnt == (div_o - 1) ? 0 : clk_cnt + 1 ; 
    end

    always @ (posedge clk_in or negedge rst) begin 
        if (~rst) cyc_cnt <= 0 ; 
        else cyc_cnt <= cyc_cnt == (M_N - 1) ? 0 : cyc_cnt + 1 ; 
    end

    always @ (posedge clk_in or negedge rst) begin
        if (~rst) div_flag <= 0 ; 
        else div_flag <= cyc_cnt == (M_N - 1) || cyc_cnt == (c89 - 1) ? ~div_flag : div_flag ; 
    end

    always @ (posedge clk_in or negedge rst) begin 
        if (~rst) clk_out_r <= 0 ; 
        else if (~div_flag) clk_out_r <= clk_cnt <= ((div_e >> 2) + 1) ; // cnt == 0,1,2,3 out输出1
        else clk_out_r <= clk_cnt <= ((div_o >> 2) + 1) ;
    end

    assign clk_out = clk_out_r ; 

//*************code***********//
endmodule

参考资料:题解 | #任意小数分频#_牛客博客

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

UCSD.KS

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值