Verilog设计_边沿检测

一种对输入数据边沿进行检测的设计。

目录

一、边沿检测


一、边沿检测

边沿检测在芯片设计中会经常用到。当某一信号发生变化时,我们常常需要检测到这种变化,以此作为其他事件的触发条件。

检测数据,输出上升沿、下降沿和总体的数据边沿。

上代码:

module check(
             input   clk,
             input   rst_n,
             input   data,
            output   pos_edge,
            output   neg_edge,
            output   data_edge
         );

         reg [1:0] data_r;

         always@(posedge clk or negedge rst_n)begin
             if(!rst_n)begin
                 data_r <= 2'b0;
             end
             else begin
                 data_r <= {data_r[0],data};
             end
         end

         assign pos_edge = ~data_r[1] & data_r[0];
         assign neg_edge = data_r[1] & ~data_r[0];
         assign data_edge = pos_edge | neg_edge;

endmodule

对输入数据进行打拍处理。

测试波形:

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Clock_926

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值