VL2 异步复位的串联T触发器

`timescale 1ns/1ns
module Tff_2 (
input wire data, clk, rst,
output reg q  
);
//*************code***********//
    reg data_r;
    always@(posedge clk or negedge rst) begin
        if(!rst) 
            data_r <= 1'b0;
        else if(data)
            data_r <= ~data_r;
        else
            data_r <= data_r;
    end

    always@(posedge clk or negedge rst) begin
        if(!rst)
            q <= 1'b0;
        else if(data_r)
            q <= ~q;
        else
            q <= q; 
    end


//*************code***********//
endmodule

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值