Vivado之时钟约束

关于设置Set False Path

默认情况下,所有时钟都是相关的;

再一般情况下,如果没有设置Set False Path,工具会分析相关时序路径,如果有TNS等不满足,先确认路径是否有问题;

设置了Set False Path后,工具便不再分析设置的路径,大幅减少布局布线时间;

另注:Set False Path的两个时钟需要设置两次,例如CLK1与CLK2,需要设置CKL1 -> CLK2和CLK2 -> CLK1两个方向;

而set_clock_group只需要设置一次;

XDC语法:

使用set_false_path:
set_false_path -from [get_clocks clk1] -to [get_clocks clk2]
set_false_path -from [get_clocks clk2] -to [get_clocks clk1]
使用set_clock_group:
set_clock_group -name asyn_clocks -asynchronous -group clk1 -group -clk2;

set_clock_groups -group [get_clocks -include_generated_c

  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值