使用factory机制进行重载

factory重载语句

语句作用
set_type_override_by_type/ set_type_override在factory机制表格内加入一条记录;当存在重载记录时会使用新类型来取代旧类型
set_inst_override_by_type/set_inst_override把验证平台中的部分A类型替换成B类型

set_type_override_by_type原函数
第一个参数是被重载类型、第二个参数是重载类型;replace表示是否可以被后面的重载覆盖;
set_inst_override_by_type原函数
第一个参数是相对路径、第二个参数是被重载类型、第三个参数是重载的类型;replace表示是否可以被后面的重载覆盖;
上面提到的factory重载语句是uvm_component函数,不能再一个无法使用conponent的地方生效。
但是存在下面四个语句可以替代上面的重载语句,它们的参数不同:
替代重载语句

factory重载前提

1.重载的类和被重载的类定义时都需要注册到factory内;
2.被重载的类实例化需要采用factory内create形式,不能采用new形式;
3.被重载类必须是重载类的父类;
4.component与object之间互相不能重载;

可以重载的对象

1.transaction;
2.sequence;
3.component(如driver);

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值