【学习笔记-FPGA】Verilog语言case语句与C语言switch case语句

个人笔记。

Verilog语言的 case语句是以case(条件)开始,endcase结束,begin end作为()。

例:

reg    [7:0]       st;

always @(posedge i_clk or negedge nrst) begin//
            if(!nrst)begin   
                        st<= 16'h0001;                       
             end
             else       begin
                        case(st)                 
                        16'h0001:begin        
                                     a<=b;                         
                                      st<= 16'h0002;
                                     end      
                        16'h0002:begin
                                       c<=a;
                                       st<= 16'h0001;
                                       end

                          endcase

                         end

       end

也可用接收的数据作为条件,可以是数字、字母等,只需要发送相应的数据就可执行相应状态。

例:

wire  [79:0]      recvData;                  //接收值

reg   [79:0]       m;

always @(posedge i_clk or negedge nrst) begin//
            if(!nrst)begin   

                        m[79:0]<=0;

              end

           else          
           begin  
           case(recvData[8:0])   
           "1":m[7:0]<=8'h12345678;
           "a":m[7:0]<=8'h12345678;
           "A":m[7:0]<=8'h12345678;

             endcase

            end

end    

C语言的switch case语句,以switch(条件)开头,case 加常量作为步骤,break作为跳出某一步。

例:

int main(void)
{ st=0;

      while(1)                     //循环
      { 
           switch(st)
           {
            case 0:   a=b;

                          st=1;    
                          break;

           case 1:   c=a;

                          st=0;    
                          break;

              }

      }

}

通过上述例子可以看出Verilog语言与C语言的case语句实现的功能基本一样,只是表达的方式有所不同。

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值