UVM coding style -- uvm_test

function void cbf_base_test::override();

  set_type_override_by_type(svtaxi_slave_random_response_sequence::get_type(), axi_slave_response_sequence::get_type());
endfunction

function void final_phase(uvm_phase);

  uvm_report_server rpt_svr;

  int error_cnt, fatal_cnt;

  super.final_phase(phase);

  svr = uvm_report_server::get_server();

  error_cnt = svr.get_serverity_count(UVM_ERROR);

  fatal_cnt = svr.get_serverity_count(UVM_FATAL);

  if ((error_cnt + fatal_cnt) > 0) begin

    string s;
    s = $sformatf("Totally %0d UVM_FATAL and %0d UVM_ERROR, test failed.", fatal_cnt, error_cnt);
    `uvm_fatal(get_name(), $sformatf("%s",s))

  end

endfunction

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值