CycloneDDS配置详细说明中文版(一)

68 篇文章 56 订阅 ¥199.90 ¥99.00
12 篇文章 1 订阅 ¥79.90 ¥99.00

//CycloneDDS

CycloneDDS配置根

//CycloneDDS/Domain

Domain域的配置

//CycloneDDS/Domain[@Id]

属性类型:文本
此配置属性适用于域id,如果适用于所有域id,则为“any”。
默认值为:any

//CycloneDDS/Domain/Compatibility

Compatibility兼容性元素,允许您指定与标准和其他DDSI实现的兼容性相关的各种设置或约定。

//CycloneDDS/Domain/Compatibility/AssumeRtiHasPmdEndpoints

配置类型:布尔型
此选项假设即使参与者发现协议(PDP)未正确通告,RTI参与者中也存在活跃性liveliness协议所需的ParticipantMessageData端点。
默认值为:false

//CycloneDDS/Domain/Compatibility/ExplicitlyPublishQosSetToDefault

配置类型:布尔型
此元素指定是否在发现协议中显式发布设置为默认值的QoS设置。实现将使用未发布的QoS设置的默认值,这允许显著减少需要为发现协议交换的数据量,但这要求所有实现都遵守规范指定的默认值。
当需要与不符合这方面规范的DDS实现进行互操作时,将此选项设置为true将有所帮助。
默认值为:false

//CycloneDDS/Domain/Compatibility/ManySocketsMode

配置的可选项:其中之一:false、true、single、none、many
此选项指定是否为主机上的每个域参与者创建网络套接字。该

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Cyclone DDS是一个非常高性能和健壮的开源实现,它是OMG DDS规范(V1.4)的一个实现。它是作为Eclipse IoT项目的一部分开发的,并且是机器人操作系统ROS 2的默认中间件体系。Cyclone DDS完全覆盖了DDS标准和规范,并提供了DCPS(以数据为中心的发布订阅)基本规范。它是一个开放的开发项目,采用DDS技术体系和架构,越来越多的用户和体系正在使用它。在配置方面,Cyclone DDS提供了一个布尔型的配置类型,用于指定是否允许IP多播数据包对同一节点中的所有DDSI参与者可见。默认情况下,它是设置为true的,但如果一个节点只运行一个Cyclone DDS服务而不托管其他支持DDSI的程序,可以将其设置为false以提高性能。\[1\]\[2\]\[3\] #### 引用[.reference_title] - *1* *2* [Cyclone DDS(1)初识](https://blog.csdn.net/pony12/article/details/128054470)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [CycloneDDS配置详细说明中文版(一)](https://blog.csdn.net/pony12/article/details/128170173)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

pony12

助力1000篇OpenDDS文

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值