RTPS规范v2.3(中文版)

 

实时发布订阅协议
DDS互操作性有线协议
(DDSI-RTPS)
技术规范
V2.3
(2019-04-03正式发布)
https://www.omg.org/spec/DDSI-RTPS/2.3/PDF

目  录
0.    序言(关于对象管理组)    4
0.1 OMG    4
0.2 OMG规范    4
0.3 议题    5
1.    范围    5
2.    规范性    5
3.    规范性引用文件    5
4.    术语和缩略    6
5.    符号    6
6.    附加信息    6
6.1 对采用的OMG规范的更改    6
6.2 如何阅读本规范    7
6.3 致谢    7
6.4 概念证明声明    8
7.    概述    8
7.1 简介    8
7.2 DDS Wire协议要求    9
7.3 RTPS Wire协议    10
7.4 RTPS平台无关模型(PIM)    11
7.4.1 结构模块    11
7.4.2 消息模块    13
7.4.3 行为模块    13
7.4.4 发现模块    14
7.5 RTPS平台特定模型(PSM)    14
7.6 RTPS传输模型    14
8.    平台无关模型(PIM)    16
8.1 简介    16
8.2 结构模块    16
8.2.1 概述    16
8.2.2 RTPS历史缓存    21
8.2.3 RTPS缓存更改    24
8.2.4 RTPS实体    25
8.2.5 RTPS参与者    27
8.2.6 RTPS端点    28
8.2.7 RTPS编写器    29
8.2.8 RTPS读取器    30
8.2.9 与DDS实体的关系    30
8.3 消息模块    37
8.3.1 概述    37
8.3.2 类型定义    38
8.3.3 RTPS消息的总体结构    39
8.3.4 RTPS消息接收器    44
8.3.5 RTPS子消息元素    46
8.3.6 The RTPS Header    52
8.3.7 RTPS子消息    53
8.4 Behavior Module行为模块    81
8.4.1 概述    81
8.4.2 互操作性所需的行为    84
8.4.3 RTPS协议的实现    88
8.4.4 作家对每个匹配读者的行为    89
8.4.5 符号约定    89
8.4.6 Type Definitions类型定义    90
8.4.7 RTPS编写器参考实现    91
8.4.8 RTPS Stateless Writer行为    108
9.    平台特定模型(PSM):UDP/IP    113
9.1 简介    113
9.2 符号约定    113
9.2.1 名字空间    113
9.2.2 结构的IDL表示和CDR线表示    113
9.2.3 位和字节的表示    114
9.3 RTPS类型的映射    114
9.3.1 全局唯一标识符(GUID)    114
9.3.2 子消息或内置主题数据中出现的类型映射    119
9.4 RTPS消息的映射    124
9.4.1 总体结构    124
9.4.2 PIM子消息元素的映射    124
9.4.3 其他子消息元素    133
9.4.4 RTPS报头的映射    133
9.4.5 RTPS子消息的映射    134
9.5 映射到UDP/IP传输消息    147
9.6 RTPS协议的映射    148
9.6.1 Default Locators    148
9.6.2 内置端点的数据表示    150
9.6.3 用于表示在线QoS的ParameterId定义    156
9.6.4 协议不推荐的参数ID    164
10.    序列化有效载荷表示    166
10.1 简介    166
10.2 SerializedPayloadHeader和表示标识符    166
10.3 RTPS发现内置终结点的SerializedPayload    167
10.4 其他RTPS内置端点的SerializedPayload    168
10.5 用户定义DDS主题的SerializedPayload    168
10.6 内置端点数据示例    170
10.7 用户定义主题数据示例    172
11.    参考    174

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

pony12

助力1000篇OpenDDS文

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值