#systemverilog# 进程控制问题#(四)关于disable fork 陷阱篇2

本文详细介绍了SystemVerilog中的`disable label`和`disable fork`关键字,通过实例解析了它们的使用方法及可能遇到的陷阱。在`disable label`中,通过示例展示了如何通过标签选择性地终止线程。而在`disable fork`部分,讨论了它如何影响fork...join_any发起的子进程,并给出了避免误杀其他无关进程的解决方案。文章提醒开发者在使用`disable`时要谨慎,确保正确限定作用范围。
摘要由CSDN通过智能技术生成

目录

一、disable label 关键字

【小黑举例】

二、disable fork 关键字

【小白举例】:

【diable fork 陷阱】

一、disable label 关键字

既然我们掌握了发起线程的方法,我们同样也要学会kill 发起的线程的方法。这节,主要总结一下 disable label disable fork

实际应用中,更多的是 fork..join_any + disable fork 组合使用,这样,任何一个fork进程执行完,其他进程被disable掉。

【小黑举例】

下面的例子中,在uvm_intf test 的main_phase 中,通过fork...join 并发发起三个子线程。

thread1: 此线程,目的在于产生 clk 时钟信号,并驱动interface 中的clk 

thread2:此线程,用于产生rst  复位信号,并驱动interface 中的rst

thread3:c 此线程,用于驱动interface 中的addr 和dat 信号。并且,重复6次之后,会disable CLK thread1 子线程。

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值