浅谈芯片验证中的仿真运行之 timescale (二)典型案例

目录

目录

案例1:【VCS中timescale报错】

案例2:【处理多个验证VIP包的不同单位/精度方法】

案例3:【模块因子模块而精度改变】

案例4:【VCS工具支持 timescale问题查找】

     4.1 vcs仿真timescale问题查找


案例1:【VCS中timescale报错

最近练习vcs时,发现一个以前没有注意的问题,就是利用vcs编译时,多个模块的摆放顺序会对timescale产生影响。

第一张图里面我对多个模块的.v文件进行编译,顺序是aref_cunter.v sdram_aref.v sdram_init.v sdram_rd_wr.v master.v sdram_control_top.v sdram_model_plus.v tb_sdram_control_top.v,timescale 只在tb_sdram_control_top.v文件中写了,其他都没有写,然后发现vcs编译报错,报告的错误为

Module "tb_sdram_control_top" has `timescale but previous
module(s)/package(s) do not.

这表示除了这个模块写了timescale,其他模块都没有写   之后我重新调整了顺序 顺序为tb_sdram_control_top.v aref_cunter.v

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

那么菜

你的鼓励和批评是我最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值