FPGA研究与实战—RAM学习与测试

FPGA研究与实战—RAM学习与测试

20181009-1010  William

前言:基于ISE14.7中的RAM模块IP核,进行Verilog程序设计。目的在于,完全掌握RAM的使用,实现基本的双端口读写。具体有以下几个部分。

1,实现双口RAM,完全掌握调用IP核的流程;

2,要深入了解RAM,那么进行测试,模拟1450字节数据,然后写入RAM看看分布情况;

3,然后在看看读出情况,是否仍是“顺序”;还有,同时读写时的问题,如读地址超过写地址时的情况。

 

(一)首先,进行RAM相关知识学习。

随机存取存储器(random access memoryRAM)又称作“随机存储器”。FPGA中,分为Distribute RAM(分布式,用于小量存储)和Block RAM(块ram,大容量存储区域,如FIFO,SRAM等)。

FPGA中设计RAM的一些总结,写的很好,如下图所示,详细参见博文:https://www.cnblogs.com/DdiAgnes/articles/4975240.html

标准是参考Xilinx的官方《Block Memory Generator v7.3》手册,但是英文的,恼火,所以在此,参见博文https://blog.csdn.net/skyplain1984/article/details/54971963 来进行RAM设计。

Xilinx的RAM可分为三种,分别是:单口 RAM(只能从A口读数据),简化双口 RAM( 只能A

  • 4
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

时间看得见

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值