FPGA笔试题4

在这里插入图片描述

答:Q->A->D时间:tCo+tComA+tSu=0.1+9.9+1 = 11ns
Q->B->D时间:tCo+tComB+tSu = 0.1 + 24 + 1 = 25.1ns
所以可以看出Q->B->D时间是更大的,只有满足了这个时间要求,整个系统才是正常的,所以最大的时钟是:(1/25.1 *10^3)Mhz
保持时间不影响时钟频率

在这里插入图片描述
答:可以看出这是两个时钟,快时钟到满时钟,另外脉冲信号pulse_a的位宽1bit,所以是1bit的数据传输,可以采用打两拍的方案·

//
always@(posedge clk_a or negedge rst_n)begin
if(rst_n==1'b0)begin
	pluse_a_ff0 <= 0;
	pluse_a_ff1 <= 0;
	end
else begin
		pluse_a_ff0 <= pluse_a; //pluse_a的此刻值
		pluse_a_ff1 <= pluse_a_ff0;//pluse_a的上一刻值
	end
end

//延长脉宽
always  @(posedge clk_a or negedge rst_n)begin
if(rst_n==1'b0)begin
	pluse_a_1 <= 1'b0;
	end
else begin
	pluse_a_1 <= pluse_a || pluse_a_ff0 ||pluse_a_ff1;
	end
end

//异步处理,打两拍

always  @(posedge clk or negedge rst_n)begin
if(rst_n==1'b0)begin
	pluse_a_1_ff0 <= 1'b0;
	pluse_a_1_ff1 <= 1'b0;
	pluse_a_1_ff2 <= 1'b0;
	end
else begin
	pluse_a_1_ff0 <= pluse_a_1;//第一拍
	pluse_a_1_ff1 <= pluse_a_ff0;//第二拍
	pluse_a_1_ff2 <= pluse_a_ff1;	//上升沿检测
	end
end
//上升沿检测
always  @(posedge clk or negedge rst_n)begin
	if(rst_n==1'b0)begin
		pluse_a_2_b <= 1'b0;
	end
	else if(pluse_a_1_ff1 == 1'b1 && pluse_a_1_ff2 == 1'b0)begin
		pluse_a_2_b <= 1'b1;
	end
	else
		pluse_a_2_b <= 1'b0;
end

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
宇视科技FPGA笔试题是一项用于测评应聘者FPGA设计能力和知识的测试。在这个题目中,应聘者首先需要了解FPGA的基本概念和工作原理,同时熟悉宇视科技的产品和技术。 笔试题可能涉及以下几个方面: 1. FPGA基础知识:应聘者需要了解FPGA的构造和工作原理,包括FPGA的内部结构、可编程逻辑单元(PLD)和查找表(Look-up Table, LUT)的概念,以及时钟分配和布线等基本知识。 2. Verilog或VHDL编程:应聘者需要熟悉Verilog或VHDL这两种常用的硬件描述语言,熟悉这些语言的语法和特性,以及如何使用这些语言来实现FPGA设计。 3. 宇视科技产品和技术:宇视科技是一家专注于视觉技术和人工智能的公司,应聘者需要熟悉宇视科技的产品和技术。例如,宇视科技的产品可能涉及图像处理、物体识别和智能监控等方面。应聘者需要了解宇视科技的产品特点、应用场景,以及相关技术和算法。 4. 综合能力:此外,应聘者在完成笔试题时需要展现出综合能力,包括解决问题的思路和方法、实现和优化FPGA设计的能力,以及对特定应用场景的理解和思考能力。 总的来说,宇视科技FPGA笔试题旨在考察应聘者的FPGA设计及相关技能和对宇视科技产品和技术的了解程度。应聘者需要结合自己的知识和经验,全面回答题目,并展现出解决问题的能力和对FPGA设计的理解和应用能力。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值