verilog modelsim memory 仿真笔记1

ram_tb.v

`timescale 1ns/1ns

module ram_tb();
  
reg clk;
reg [7:0] addr;
wire [7:0] data;
  
ram ram1(
  .clk(clk),
  .addr(addr),
  .data(data)
);

initial
begin
  clk = 0;
  addr = 8'b00000000;
end

always #10 clk = ~clk;

always #40 addr = 8'b00000011;

always @(posedge clk)
begin
  addr = 8'b00000010;
end

endmodule

 

ram.v

module ram(
    input clk,
    input [7:0] addr,
    output reg [7:0] data
);

reg [7:0] mem[0:255];

initial
begin
  mem[0] = 8'b00000011;
  mem[1] = 8'b00001100;
  mem[2] = 8'b00110000;
  mem[3] = 8'b11000000;
  
//  $readmemh("file_name", mem_array, start_addr, stop_addr);
end

always @ (posedge clk)
begin
    data <= mem[addr];
end


endmodule

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值