握手2倍速率进,一倍速率出[verilog]

module two_to_one #(
    parameter WORD_LEN = 33
)
(
    input                     clk,
    input                    arst,
    
    input [2*WORD_LEN-1:0]     i_din,
    input                     i_din_valid,
    output                     o_din_ready,
    
    output [WORD_LEN-1:0]     o_dout,
    input                     i_dout_ready,
    output                     o_dout_valid
);

reg        [WORD_LEN * 2 - 1 : 0]    store_data;
reg        [1                : 0]    dat_cnt;

always @(posedge clk or negedge arst)
    if(!arst)
        store_data <= {2*WORD_LEN{1'b0}};
    else if(i_din_valid && o_din_ready)
        store_data <= i_din;
    else if(i_dout_ready && o_dout_valid)
        store_data <= {{WORD_LEN{1'b0}},store_data[WORD_LEN-1 : 0]};
    
always @(posedge clk or negedge arst)
    if(!arst)
        dat_cnt <= 2'd0;
    else if(i_din_valid && o_din_ready)
        dat_cnt <= 2'd2;
    else if(i_dout_ready && o_dout_valid)
        dat_cnt <= dat_cnt - 2'd1;
        

assign o_dout = store_data[WORD_LEN-1:0];
assign o_din_ready = dat_cnt == 2'd0 || (dat_cnt == 2'd1 && i_dout_ready);
assign o_dout_valid = (dat_cnt != 2'd0);

endmodule

Verilog HDL中设计一个串口(UART,Universal Asynchronous Receiver/Transmitter)通常包括以下几个模块: 1. **数据接收端**(Receiver):这个部分包含异步数据接收寄存器、移位寄存器以及控制逻辑来处理输入信号的同步。它从RXD(Receive Data)线接收数据,并可能包含去抖动逻辑来去除噪声。 ```verilog module uart_rx( input wire clk, input wire rx_d, output reg [7:0] data_in, input wire reset ); // 内部细节省略... endmodule ``` 2. **数据发送端**(Transmitter):负责将数据发送到TXD(Transmit Data)线上。它接受来自微控制器的数据并行格式化,然后通过驱动器发送去。 ```verilog module uart_tx( input wire clk, input wire data_out, output wire tx_en, input wire reset ); // 内部细节省略... endmodule ``` 3. **握手和控制逻辑**:这包括发送和接收中断标志、波特率发生器等。例如,通过CTS(Clear to Send)和RTS(Request to Send)信号握手,以及计数器用于同步字符传输速率。 4. **状态机**:串口通信需要一个状态机来管理各种操作模式,如发送帧、接收帧、空闲等。 ```verilog module uart_controller( input wire clk, // 端口连接至上述rx和tx模块的信号 // ... input wire rst, input wire command // 微控制器发的命令 ); reg [2:0] state; always @(posedge clk or posedge rst) begin if (rst) begin state <= 0; // 初始化到某个状态 end else if (command == CMD_SEND) begin // 根据状态机执行相应的动作 end else if (command == CMD_RECEIVE) begin end end endmodule ```
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值