ZYNQ-XDC语法

XDC的基本语法
XDC的基本语法可以分为时钟约束、I/O约束以及时序例外约束三大类。对一个设计进行约束的先后顺序也可以依照这三类约束依次进行。
XDC文件可以直接编写,也可以在Vivado中配置I/o端口自动生成

#IO 管脚约束
set_property -dict {PACKAGE_PIN U18 IOSTANDARD LVCMOS33} [get_ports sys_clk] 
# 命令名称 (管脚约束) 引脚位置  		电平标准  		 附加对象

约束语句:
1.# 开头表注释
2.每个约束命令独占一行
3.第一个关键字为命令名称,其后为参数表,规定引脚位置,电平等进行约束

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值