BRAM对应的IP核调用和使用

Vivado软件中包含有三种类型的 IP核,包括数据处理类IP核、驱动类IP核、存储类IP核。
与BRAM对应的存储型IP核是Block Memory Generator(BMG)

调用BRAM

  • 首先在Vivado界面的右侧选择IP Catalog 选项。
  • 然后就可以在IP 目录中,选择想要的IP核,此处在搜索框输入BRAM,选择我们要使用的BRAM IP核。
  • basic设置
    在这里插入图片描述
    (1)在component name后的框里输入将要定制的BMG IP核的名称;
    (2)在Memory Type选框中有四种选项:单口RAM、单双口RAM、真双口RAM、单口ROM、双口ROM。
    单口

在这里插入图片描述

我们常用的是单口BRAM,单口写,单口读。
(3)Interface Type表示BMG IP核的接口类型,如果用PL调用 BRAM,选择Native。如果用PS通过PL来调用BRAM,则选择AXI4。这里选择Native。
(4)ECC Options表示纠错编码选项,主要调整BMG支持的软错误纠正控制(软ECC)模块的实现和使用,一般不会使用,除非对系统可靠性要求很高的场景中才会考虑使用。这里不进行调整。
(5)写使能和算法选项同样不做任何修改。

  • 设置端口的位宽以及深度
    -在这里插入图片描述

    Port A Width即位宽,也就是你存储数据的有多少位,Port A Depth即深度,也就是你要存多少个数据。端口A设置好后,还需要点击Port B Options,点击完进入Port B Options选项后,系统会帮你自动设置好端口B的宽度和深度,因为B肯定是和A一样的。
    (1)如果打算以.coe文件来初始化BRAM,操作模式选框要选“读优先”,程序中不再需要对BRAM进行写,只进行读。
    在这里插入图片描述
    (2)使能端口类型选框,其作用是选择是否加入ENA引脚,该引脚可看做是BMG的开关信号的输入引脚。为了方便,选择“总是使能”,这样,BMG就会一直处于工作状态。

在Other Options选项中,有一个Load Init File选项,即加载初始化文件,在这里勾上后,即可加载已存储好数据的.coe文件。

MEMORY_INITIALIZATION_RADIX=16; //表示数据的进制类型
MEMORY_INITIALIZATION_VECTOR= //表示存储的数据
7ADB,BDB2,5646,88D5,74E9,D990,481D,69A9,2DA4,E097,…

.coe文件可以借助matlab来得到。部分关键代码如下:

r = dec2hex(x2);
fid = fopen('text.coe','w');
fprintf(fid,'MEMORY_INITIALIZATION_RADIX=16;\n');
fprintf(fid,'MEMORY_INITIALIZATION_VECTOR=\n');
for i = 1:3191
    fprintf(fid,r(i,:));
    if i==3191
        fprintf(fid,';');
    else
        fprintf(fid,',');
    end
     
    if mod(i,15)==0
        fprintf(fid,'\n');
    end
end

  • 然后点击下方OK,然后再点击弹出来的窗口的Generate即可生成BRAM 的IP核。

例化

(1)在IP Sources文件夹中找到.veo文件,打开
.veo是verilog
.vho是VHDL
在这里插入图片描述
(2)复制BRAM0的例化verilog代码(下图选中区域);
在这里插入图片描述

(3)在工程中新建仿真文件,即testbench文件;
(4)读懂BRAM“写优先模式”的时序图,并编写testbench。

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date:
// Design Name: 
// Module Name: 
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//
module Bram_test();
//Testbench时钟信号
parameter size = 100;
reg clka = 0;
reg wea = 0;
reg [11:0] addra = 12'h000;
wire [15:0] douta; //输出信号设置为wire垿
always #10 clka <= ~clka;
initial
    begin
		#45 wea = 1;
		repeat(size)
		begin
			#20 addra=addra+1;
		end
		wea = 0;
	end			
	BRAM0 My_Bram (
	  .clka(clka),    // input wire clka
	  .wea(wea),      // input wire [0 : 0] wea
	  .addra(addra),  // input wire [11 : 0] addra
	  .douta(douta),  // output wire [15 : 0] douta
	  .dina()
	);
endmodule

(5)将testbench文件设为顶层文件,并进行行为仿真;

(6)输出波形仿真结果
ref
https://blog.csdn.net/XXQ121/article/details/81037687

https://blog.csdn.net/weixin_43941525/article/details/90408941

  • 10
    点赞
  • 32
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: Vivado Xilinx FFT IP核是一种用于高速傅里叶变换(FFT)设计的可编程逻辑器件。它是Xilinx FPGA平台上高效实现FFT的重要组成部分。FFT算法是数字信号处理中的一种技术,用于将连续的时间域信号转换为频域信号。FFT主要用于音频、视频、雷达、医学图像及其他领域中的数字信号处理应用。 Vivado Xilinx FFT IP核具有灵活性、高速性和可扩展性,用户可以根据实际需求进行优化。它提供了各种不同大小和类型的FFT核,支持多种不同的输入格式和输出格式。这些FFT核可以连接到其他IP核和组件,以实现更为复杂的数字信号处理系统。 通过使用Vivado Xilinx FFT IP核,用户可以快速、有效地实现基于FFT的数字信号处理应用。该IP核是针对Xilinx FPGA平台进行优化的,可以充分利用FPGA的并行处理能力,实现高效的FFT计算。此外,Vivado Xilinx FFT IP核还包括完整的文档和示例代码,用户可以轻松入手并快速掌握。 总之,Vivado Xilinx FFT IP核是一种高效、灵活、可扩展的数字信号处理核,在音频、视频、雷达、医学图像及其他领域具有广泛的应用前景。 ### 回答2: vivado xilinx fft ip核是一种数字信号处理IP核,旨在为FPGA设计师提供快速、高效的FFT实现。该IP核采用可配置的 Radix-2/4/8/16/32/64/128/256 的FFT算法,能够适应不同的应用需求,而且支持“正式”和“奇异”点数的FFT。 vivado xilinx fft ip核具有多种优点。首先,该IP核支持高速、低功耗的FFT实现,大大提高系统的运行效率。其次,该IP核提供了简单、易用的界面,使设计师可以方便地配置并使用IP核。此外,该IP核还支持自适应Bit-Reversal,可以根据需要自动调整Bit-Reversal逻辑,减少片上逻辑资源占用。 总之,vivado xilinx fft ip核是一款高性能、易用、灵活的FFT IP核,可以有效地提高数字信号处理系统的运行效率,是FPGA设计师不可或缺的重要工具。 ### 回答3: Vivado Xilinx FFT IP核是FPGA设计中常用的IP核之一,可以快速实现处理频率域信号所需的傅里叶变换功能。该IP核支持各种不同类型的傅里叶变换,包括离散傅里叶变换(DFT)、快速傅里叶变换(FFT)以及反转快速傅里叶变换(IFFT)。 使用Vivado Xilinx FFT IP核可以大大加快设计的速度,避免了手工实现傅里叶变换所需的复杂计算和代码编写。设计者只需在Vivado Design Suite中进行简单的IP核实例化,并设置IP核的参数和端口映射即可完成设计。 此外,Vivado Xilinx FFT IP核具有很好的可适应性和可扩展性,可以支持多个输入样本点数、数据宽度以及指定的FFT类型等。同时也可以很容易地与其他IP核和外设进行集成,如DSP48E1核、BRAM、AXI Stream和AXI Lite总线等。 在实际应用中,Vivado Xilinx FFT IP核可以广泛用于信号处理领域中的音频、视频、雷达、通信和医疗等方面,为FPGA系统的性能和功能提供了有力的支撑。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值