Xilinx FPGA BRAM IP核使用

53 篇文章 22 订阅 ¥99.90 ¥299.90
本文介绍了Xilinx FPGA中的Block Memory Generator (BMG) IP核,包括BRAM的五种类型和应用场景。详细阐述了如何在Vivado 2019.1中配置BRAM IP,以及基本的接口设置,并提供了BRAM IP的接口说明。文章最后鼓励读者在遇到问题时通过邮件交流。
摘要由CSDN通过智能技术生成

1 BRAM简介

 1)Xilinx公司的FPGA中有着很多的有用且对整个工程很有益处的IP核。Vivado软件中包含有三种类型的 IP核,包括数据处理类IP核、驱动类IP核、存储类IP核。与BRAM对应的存储型IP核是Block Memory Generator(BMG)

 2)BRAM IP核类型如下:

BRAM IP核包括有5种类型:

a)单端口RAM:Single-port RAM   单端口RAM

b)简单双端口RAM(A写数据B读数据):Simple Dual-port RAM      简单双端口RAM(A写数据B读数据)

c)双端口RAM:True Dual-port RAM  

d)单端口ROM:Single-por ROM  

e)双端口ROM:Dual-port ROM  

3)BRAM IP应用场景

a)BRAM是FPGA定制的RAM资源,有着较大的存储空间,且在日常的工程中使用较为频繁。BRAM以阵列的方式排布于FPGA的内部,是FPGA实现各种存储功能的主要部分,是真正的双读/写端口的同步的RAM。

b)进行数据缓存和跨时钟域使用。

2 BRAM IP配置

a)使用软件

vivado 2019.1

b)BRAM IP配置流程

第一,在Vivado界面的右侧选择IP Catalog 选项。

第二,在IP 目录中,选择想要的BRAM IP核 或者在搜

  • 3
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

宁静致远dream

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值