xrun简单的仿真环境

xrun
xceliun

makefile文本
xceliun 版本指令

all:	clean 	comp 	ela	sim
comp:
	xrun -64bit -compile test.v +access+rwc
ela:
	xrun -64bit -elaborate test.v +access+rwc
sim:
	xrun -64bit -R -gui
clean:
	xrun -clean 

2024年6月6日
添加版本incisive版本指令

all:	clean 	comp 	ela	sim
comp:
	irun -64bit -compile test.v +access+rwc
ela:
	irun -64bit -elaborate test.v +access+rwc
sim:
	irun -64bit -R -gui
clean:
	irun -clean 

仿真代码

`timescale 1ns/1ns
module test ();
reg clk;
initial begin
    clk = 1'b0;
    forever begin
        #100 ;
        clk = ~clk;
    end
end
initial begin
    #1000;
    $finish;
end
initial begin
    forever begin
        #50;
        $display("%d",$time);
    end
end
endmodule

添加信号到观察窗口
在这里插入图片描述
运行仿真
在这里插入图片描述
窗口输出波形
在这里插入图片描述

2024-6-6 添加备注
xrun 跑不通的时候,可能是版本问题
旧的版本建议使用 irun命令

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值