Systemverilog中$cast()的使用

Systemverilog中$cast()的使用

1 静态转换

SV类型转换分两种方法,一种叫静态类型转换,另一种称之为动态类型转换。静态类型转换的语法类似为:

int a = 2;  
real b;  
b = real'(a);  

这种类型`()的静态类型转换,一般不检查转换是否合法,因此具有一定的危险性。

2 动态转换

2.1 动态转换

c a s t 的 t a s k 却 不 是 这 样 , cast的task却不是这样, casttaskcast是systemverilog中的内建方法,它会进行类型检查。如果转换失败,会产生运行时错误。

cast在system veilog中被称为向下类型转换(downcasting),类型向下转化是指将 一个指向基类的指针转化为指向派生类的指针。

$cast可以对不同的内建类型进行转换,用的更多的是不同层次之间类的转换。在这种父类与子类之间的转换里, 父类站的高,子类在底下,从父类向子类的转换,称为向下类型转换,而子类向父类的转换称为向上类型转换。向上类型转换是安全的,而反之则是不安全的。原因在于子类既然继承了父类,就拥有父类的一切属性,除此之外,龙生九子,各有不同,子类还有自己独特的个性,这些是父类没有的。当进行向上类型转换时,相当于父类的句柄指向子类对象,这样的话句柄仍然能对子类对象与父类相同的属性进行访问。但是反过来,如果向下类型转换也那么自由,当试图把子类的句柄指向父类的对象会发生什么呢?父类本来划好了一小块地盘,但是因为子类含有比父类更丰富的属性,它很有可能会访问父类并不包含的资源,这时就找不到该资源,越界了,因此会有error。父类就好像上海,子类相当于长三角地区,包含但不仅仅是上海,因此父类能到的地方子类都可以到,反之不行,因此把子类的句柄给父类没关系,但反之不行,所以向下类型是需要有严格的类型检查的,阻止非法转换。

栗子:

class father;    
	string m_name;
    function new (string name);      
    m_name = name;    
    endfunction : new
    function void print ();     
    $display("Hello %s", m_name);   
   endfunction : print
endclass : father
class child1 extends father;    
string area1 = "jiangzhehu";
    function new (string area1);      
    super.new(area1);    
    endfunction : new
endclass : child1
class child2 extends father;    
	string area2 = "shanghai";
    function new (string area2);      
    super.new(area2);   
    endfunction : new
endclass : child2

program top;   
 father f;    child1 c10,c11,c12;    child2 c20,c21,c22;
    initial begin         
       f = new ("shanghai");        
       f.print();        
       c10 = new("jiangzhehu");      
       f = c10;           
       f.print();           
       c20 = new("changsanjiao");           
       f = c20;            
       f.print();           
       c20.area2 = "zhejiang";            
       $cast(c21, f);           
       c21.print();           
       $display("has %s", c21.area2);            
       c22 = c20;           
       c22.print();           
       $display("has %s", c22.area2);           
       c20.area2 = "hangzhou";          
       c21.print();           
       $display("has %s", c21.area2);            
       c22.print();            
       $display("has %s", c22.area2);
    end  endprogram : top

仿真结果:

# Hello shanghai
# Hello jiangzhehu
# Hello changsanjiao
# Hello changsanjiao# has zhejiang
# Hello changsanjiao# has zhejiang
# Hello changsanjiao# has hangzhou
# Hello changsanjiao# has hangzhou

请注意,不能直接把$cast(c21, f);前提是先把子类赋给父类才行。

使用cast也不能把c20给c10;

2.2 动态转换与copy

对于两个具有继承关系的class,若对应父类的instance为father_tr,而子类的instance为child_tr,那么​如果只是让子类的指针指向父类,有以下几种操作方法:

1、简单赋值:fathrer_tr = child_tr;​

2、使用copy函数:father_tr = child_tr.copy();

3、使用 c a s t 函 数 : cast函数: castcast(father_tr, child_tr);​

以上3种方法均可实现数据的传递,但有本质区别:(1)通过简单赋值操作,使两个handle father_tr和child_tr指向了同一块memory,因此,father_tr的类型也会变成子类的类型。(2)使用copy函数​,是将数值进行简单的copy,即将child_tr对应的memory中的数据放入father_tr对应的memory中,这种数据的copy方式,不会带来类型的变化,因此,father_tr仍然是父类的类型,但使用copy函数要求father_tr得先new一块memory, 如果没有memory,则需要使用clone函数。(3)此处的$cast操作与(1)中的简单赋值很类似,father_tr的类型也会变为子类的类型。

上面子类向父类进行数据传递时,显示不出cast操作向下类型转换的优势,但父类向子类传递数据时,无法使用child_tr = father_tr,则必须要使用$cast操作。

使用 c a s t 操 作 , 直 接 使 用 cast操作,直接使用 cast使cast(child_tr, father_tr)是非法的,此时则要求基类的handle必须指向派生类的对象,即father_tr必须指向child_tr类型的对象​,因此一般会有如下几步操作:

1、定义一个派生类类型的对象:child1_tr = new;

2、​father_tr = child1_tr;

3、$cast(child_tr, father_tr);

以上第(3)步,$cast会做类型检查,若类型兼容返回1,否则返回0。​

3 clone()

clone是基类uvm_object的方法,clone = new + copy,返回的是uvm_object的handle,常用于对原实体进行复制到本地进行修改。
e.g.

my_trans  trans_a;
my_trans  trans_b;
$cast(trans_a, trans_b.clone());

由于clone()后,返回的句柄是父类类型,而不在是my_trans类型,直接用trans_a = trans_b.clone()是错误的。所以需要cast给子类。

参考

1.SystemVerilog中$cast方法的作用是什么.
2.UVM 之 $cast.
3.System verilog中 $cast.
4.verifcation academy.
5.What is copy-on-write?

  • 20
    点赞
  • 138
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值