System Verilog任务、函数

在System Verilog中,begin end是可选的

任务

task mytask1 (output logic [ ] x,
                          input logic y);
  ...
endtask

还可以使用ref类型的参数对变量进行引用,类似于C中的指针,但ref不允许进行数组参数的传递
还可以使用缺省参数
增加了return语句

从函数中返回一个数组

方法一:定义数组类型,在函数声明中使用该类型

typedef int fixed_array5[5];
fixed_array5 f5;
function fixed_array5 init(int start);
  foreach(init[i])
  init[i] = i + start;
endfunction

init函数创建了一个数组,该数组的值被拷贝到数组f5中,但如果数组很大,会引起性能上的问题
方法2:通过引用来进行数组参数的传递,以ref参数的形式将数组传递到函数中

function void init(ref int f[5], input int start);
  foreach (f[i])
    f[i] = i + start;
endfunction

时间值

$time  返回根据模块时间要求进行舍入的整数
$realtime  返回带小数部分的完整实数
// 时间标度(9-ns,12-ps),小数点后的数据精度,时间值之后的后缀字符串,显示最小宽度
$timeformat(-9, 3, "ns", 8) 
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值