Quartus II Shift Register (RAM-based) 详解

1.建立

    

    

    左边选择Shift Register 使用什么芯片 右上就选什么   选择语言 还有工程路径和名字

2.配置

    

    

    端口 1bit  三个tap 抽头 每个独立分组 每个间距是3    即3X3的一位寄存器

    创建时钟使能端口  和 异步清零端口

    配置完直接finish就可以 

3.仿真调试

    1.代码

module cs(
    input clock,
    input aclr,
    input clken,
    input   shiftin,
    output  shiftout,
    output  taps0x,
    output  taps1x,
    output  taps2x
);


line l(
    .clken(clken),
	.clock(clock),
	.aclr(aclr),
	.shiftin(shiftin),
	.shiftout(shiftout),
	.taps0x(taps0x),
	.taps1x(taps1x),
	.taps2x(taps2x)
);

endmodule 

    2.波形图仿真

    

    aclr是异步复位,只要其为高电平 即复位 如果不需要复位在配置的时候可以不选create这个

    clken是使能 其为高电平且 在alcr为低电平的情况下 在clk时钟的上升沿 寄存器移位  不需要也可以不create这个

    shifitin 移位寄存器 的输入端  shiftout最终输出端

    过程: shiftin -> taps0x -> taps1x -> taps2x(shiftout) 

    

  • 2
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

大米粥哥哥

感谢认可!

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值