【FPGA】:ip核----ram based shift register

三、 ram based shift register

3.1 概述

   顾名思义,对输入的数据进行延时

3.2 端口说明

在这里插入图片描述
在这里插入图片描述

3.3 ip核的生成

(1)configuration 选项的配置(本次width选1,depth选8
在这里插入图片描述
(2)初始化相关的参数
在这里插入图片描述
(3)输出选项卡的配置
在这里插入图片描述

3.4 代码的生成

例子1:固定长度

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2022/04/30 16:40:00
// Design Name: 
// Module Name: shift_tb
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//


module shift_tb();

reg D;
reg CLK;
wire Q;

c_shift_ram_0 your_instance_name (
  .D(D),      // input wire [0 : 0] D
  .CLK(CLK),  // input wire CLK
  .Q(Q)      // output wire [0 : 0] Q
);

initial CLK =1;
always #5 CLK=~CLK;

initial begin
    D=0;
    #10;
    D=1;
    #10;
    D=0;
end

endmodule

例子2:可变长度
在这里插入图片描述

module shift_lengthvariable_tb( );
reg D;
reg CLK;
reg [7:0] A;
wire Q;

c_shift_ram_1 your_instance_name (
  .A(A),
  .D(D),      // input wire [0 : 0] D
  .CLK(CLK),  // input wire CLK
  .Q(Q)      // output wire [0 : 0] Q
);

initial CLK =1;
always #5 CLK=~CLK;

initial begin
    D=0;
    A=8'd10;
    #10;
    D=1;
    #10;
    D=0;
    #30;
end
endmodule

例子2:多bit数
在这里插入图片描述

module shift_lengthvariable_tb( );
reg [7:0] D;
reg CLK;
reg [7:0] A;
wire[7:0] Q;

c_shift_ram_1 your_instance_name (
  .A(A),
  .D(D),      // input wire [0 : 0] D
  .CLK(CLK),  // input wire CLK
  .Q(Q)      // output wire [0 : 0] Q
);

initial CLK =1;
always #5 CLK=~CLK;

initial begin
    D=0;
    A=8'd15;
    #10;
    D=8'b10101100;
    #10;
    D=0;
    #30;
end
endmodule

3.5 仿真结果

例子1
在这里插入图片描述
总结:ip核的深度设置为8,实际输出比输入延时了7个时钟周期
例子2:
在这里插入图片描述
总结:A=10,实际输出比输入延时了11个时钟周期,这是因为在ip核设置中如上图绿色框所示多了1个额外的时钟周期
例子3:
在这里插入图片描述
总结:A=15,实际为16个时钟周期,解释同例子2

  • 15
    点赞
  • 107
    收藏
    觉得还不错? 一键收藏
  • 5
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值