利用分频和计数器实现LED1ms翻转1次

/*led每1秒翻转一次,周期为2s,系统时钟频率是50M,(1/50M)20ns为一个周期*/

/*方法一:通过分频来实现*/
`timescale 1ns / 1ps
module LED_1(
input clk,
input Rst_n,
output reg led
);
reg [31:0]div_cnt;
reg divclk;
always@(posedge clk or negedge Rst_n)
begin
	if(!Rst_n)
	begin div_cnt=0;led<=0;divclk<=0;end
	else if(div_cnt==50000000)//2s/20ns÷2,将ns换算成s后计算
	begin divclk<=~divclk;div_cnt<=0;end
	else div_cnt<=div_cnt+1'b1;
end
always@(posedge divclk)
	begin
	led=~led;
	end
	
endmodule




/*方法二:通过计数来实现   */
`timescale 1ns / 1ps
module LED_1(
input clk,
input Rst_n,
output reg led
);
reg [31:0]div_cnt;
//reg divclk;
always@(posedge clk or negedge Rst_n)
begin
	if(!Rst_n)
	begin div_cnt=0;led<=0;end
	else if(div_cnt==50000000)//2s/20ns÷2,将ns换算成s后计算
	begin div_cnt<=0;led=~led;end
	else div_cnt<=div_cnt+1'b1;
end
	
endmodule



/*Testbench*/
module LED_1_tst();
reg clk;
reg Rst_n;
wire led;

LED_1 uut(.clk(clk),
.Rst_n(Rst_n),
.led(led));
initial
	begin
		clk=0;
		Rst_n=0;
		#100 Rst_n=1;
		//#1000 $finish;
	end
always #10 clk=~clk;
endmodule

具体分频怎么计算的,可以参考我的上一篇博客Verilog中分频数的计算

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

IC媛

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值