设计一个LED,按照1毫秒的速率进行翻转

设计一个LED,按照1毫秒的速率进行翻转

晶振:50MHZ;
周期:20ns;
计数次数:1ms=1000000ns/20ns -1=49_999次;
同时49_999次采用程序员计算机可知,需要一个16为的计数器。

module led_test(clk,rst_n,led);
      input clk;
		input rst_n;
		
		output  reg led;
		
		reg [15:0] cnt;
		
		always@(posedge clk or negedge rst_n)
		  if(!rst_n)
		    cnt <= 0;
		  else if(cnt == 49_999)
		    cnt <= 0;
		  else 
		   cnt <= cnt + 1'b1;
	   always@(posedge clk or negedge rst_n)
		  if(!rst_n)
		    led <= 0;
		  else if(cnt == 49_999)
		    led <= ~led; 
endmodule

testbench测试:

`timescale 1ns/1ns
module led_test_tb;

  reg clk;
  reg rst_n;
  
  wire  led;

led_test  led_test(
         .clk(clk),
			.rst_n(rst_n),
			.led(led)
			);
			
initial clk = 1;
always	#10  clk=~clk;
		
initial begin 
   rst_n=0;
   #200;
   rst_n = 1;
	#10000000;//这里代表跑10ms
	$stop;
end 		

endmodule


可以看出时间间隔正是1ms,所以实现了1ms翻转一次。


采用Grid&Timeline能够进行时间单位的修改。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Fighting_FPGA

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值