modelsim仿真ISE工程时出现# ** Error: (vlog-19) Failed to access library 'rtl_work' at "rtl_work

今天在使用ISE调用Modelsim的时候,遇到下面图1的错误:

  

图1 Modelsim出现的错误

  找了半天解决方法,找到了下面的解答(http://blog.sina.com.cn/s/blog_6e394a3d0101722o.html)。

  通常情况下一台电脑上即装有ISE,又装有quartus ii且二者的仿真库都在modelsim里编译好,在用modelsim仿真过quartus ii工程之后再用modelsim仿真ISE工程就会出现如题所示错误:Error: (vlog-19) Failed to access library 'rtl_work' at "rtl_work",此时在modelsim界面的library栏处找到work(unavailable) library rtl_work,将其删除,即可进行ISE工程的仿真。

    以后每次仿真过quartus ii工程之后再仿真ISE工程可能都会出现这种情况,那就每次都记得出现错误时就把work(unavailable) library rtl_work删除,再进行ISE工程的仿真。

删除了work(unavailable) library rtl_work之后,在仿真就没有错误了!

 

上面是博客:modelsim仿真ISE工程时出现# ** Error: (vlog-19) Failed to access library 'rtl_work' at "rtl_work

的内容。

我的错误也类似,只要将library后面跟着的目录在modelsim删掉在运行就行了。

我的是提示这个work有问题

然后我先将Modelsim下面的那个work先删了,然后在点击运行xilinx的仿真文件.fdo文件。

就能成功运行了。

  • 3
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 4
    评论
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值