verilog的input和output的类型

verilog的input和output是什么类型?
reg and wire specify how the object will be assigned and are therefore only meaningful for outputs.
reg和wire指定如何分配对象,因此仅对输出有意义。

If you plan to assign your output in sequential code,such as within an always block, declare it as a reg (which really is a misnomer for “variable” in Verilog). Otherwise, it should be a wire, which is also the default.
如果计划在顺序代码中分配输出,例如在always块中,则将其声明为reg(这实际上是Verilog中“变量”的误称)。 否则,它应该是wire型的,这也是默认值。

verilog模块写成以下这样简便易读
module mux4( inputa_i, b_i,c_i, d_i,
input [1:0] sel_i,
outputz_o);

  • 6
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值