Vivado PLL IP核的使用

一、PLL IP核配置

当我们需要用到分频或者倍频的时候,就需要使用Vivado中的 PLL IP核来获得我们想要的时钟频率。下面简单说明一下如何配置PLL IP核。

1、查找 PLL IP核

在这里插入图片描述
2、指定用于分频的输入频率

在这里插入图片描述
3、设置输出频率、相位以及占空比

在这里插入图片描述
4、设置locked信号的名字

Locked信号是用来观察pll输出时钟是否和输入时钟锁定。当锁定时,这个Locked信号就变为高电平。

在这里插入图片描述
5、如果需要,可以在此对之前的设置进行修改并覆盖

在这里插入图片描述
详细还可以参考这篇文章:

https://blog.csdn.net/weixin_42151221/article/details/103351855

二、实例

1、RTL代码

module pll_test(
 	input       sys_clk,            //system clock 50Mhz on board
 	input       rst_n,             //reset ,low active
 	output      clk_out           //pll clock output J8_Pin3
    );
    
 wire        locked;


/PLL IP call
clk_wiz_0 clk_wiz_0_inst
   (
    .clk_in1(sys_clk),            // IN 50Mhz
    // Clock out ports
    .clk_out1(),                // OUT 200Mhz
    .clk_out2(),               // OUT 100Mhz
    .clk_out3(),              // OUT 50Mhz
    .clk_out4(clk_out),    // OUT 25Mhz	 
    // Status and control signals	 
    .reset(~rst_n),        // pll reset, high-active
    .locked(locked));     // OUT

 endmodule

2、仿真程序

`timescale 1ns / 1ps

module vtf_pll_test;
	// Inputs
	reg sys_clk;
	reg rst_n;

	// Outputs
    wire clk_out;

	// Instantiate the Unit Under Test (UUT)
	pll_test uut (
		.sys_clk(sys_clk), 		
		.rst_n(rst_n), 
		.clk_out(clk_out)
	);

	initial begin
		// Initialize Inputs
		sys_clk = 0;
		rst_n = 0;

		// Wait 100 ns for global reset to finish
		#100;
          rst_n = 1;        
		// Add stimulus here
	 end
   
    always #10 sys_clk = ~ sys_clk;   //5ns一个周期,产生50MHz时钟源
   
endmodule

3、仿真结果

在这里插入图片描述

可以看到,当输出频率稳定之后,locked信号会拉高。一般在使用的时候,都会把locked信号作为使用该输出时钟的模块的复位信号,因为此时PLL输出给该模块的时钟才算稳定,才预示着真正可以开始正常工作了。

  • 36
    点赞
  • 159
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Vivado中,PLL(Phase-Locked Loop)是一种常用的IP核,用于生成稳定的时钟信号。引用中提到了一种实例化PLL IP的方法,即在Verilog程序中将IP的例化模板内容复制粘贴,并进行实例化。常见的存储器IP核(如ROM、RAM、FIFO)、分频器IP核以及加减法IP核都可以使用类似的方法实例化。 要详细了解Vivado中的PLL IP核,可以创建一个顶层设计文件,并在文件中编写相应的代码来实例化PLL IP。代码中需要注意一些细节,例如PLL的复位是高电平有效,需要与rst_n信号反向连接,而rst_n是低电平复位。 在Vivado使用PLL IP核,通常需要定义时钟输入信号(如20MHz系统时钟)、复位信号以及输出的PLL时钟信号(即clk_out)。同时,还需要定义控制信号(如locked)和PLL的实例化。通过实例化PLL IP核,可以生成稳定的时钟信号,用于系统设计中的时序控制等操作。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [【FPGAVivado软件 PLL IP核使用教程](https://blog.csdn.net/qq_65274042/article/details/129842553)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [FPGA开发部分IP核例化详解](https://download.csdn.net/download/syb_love_jiexin/9961101)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

耐心的小黑

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值