Xilinx伪双口RAM实现同步FIFO(解决读写冲突)

一、伪双端口RAM配置

关于创建和配置IP,可以参考我的另一篇文章:Vivado 双口RAM IP核的使用,不同之处只是在于本文使用的伪双端口RAM的写端口和读端口都加了使能信号,也即没有选择始终使能。

这里需要注意的是,如果想要解决读写冲突,也就是当同时读写同一地址时,能读出之前存在该地址的旧数据,也能将要写的新数据正确写入到该地址,那写端口的操作模式一定要选择READ_FIRST或者NO_CHANGE

如果选择WRITE_FIRST,那么当读写冲突时,读出的数据是此时准备写入的数据,并不是之前存储的数据,这显然不符合逻辑。

二、读写地址变化方式

1、关于写地址的变化,只需考虑we有效的情况:

  • 只写不读时:若FIFO满,则写失败,写地址不变;若FIFO不满,则写一定成功,写地址加1。
  • 又写又读时:若FIFO满,读写为同一单元,因读操作只需读取旧数据,所以读写都成功,写地址加1;若FIFO不满,则进行读写操作的是两个不同单元,所以写一定成功,所以写地址也加1。

总结起来,(we有效&&((re无效&

  • 2
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Vivado 双口 RAM IP 核是通过使用 Vivado 软件中的 RAM IP 核来实现的。双口 RAM 具有两个端口,但只能在一个时钟上进行操作。其中一个端口可以同时进行操作,而另一个端口只能进行出操作。这种类型的 RAM 可以用于并行处理或者需要同时的应用场景。 在 Vivado 中,通过使用 RAM IP 核并选择相应的配置参数,可以生成双口 RAM IP 核。根据你提供的引用中的信息,Vivado 的 RAM IP 核可以生成多种不同类型的内存空间,包括单口 RAM、简化双口 RAM 和真双口 RAM。其中,双口 RAM 是其中之一。 如果你需要使用 Vivado 中的双口 RAM IP 核,你可以按照以下步骤进行操作: 1. 打开 Vivado 软件并创建一个新的项目。 2. 在设计页面中,点击工具栏上的 "IP Integrator" 图标,进入 IP Integrator 界面。 3. 在 IP Integrator 中,点击 "Add IP" 按钮,并选择 "RAM" 类别下的 "RAM" IP 核。 4. 在 IP 配置页面中,选择 "双口 RAM" 作为 RAM 的类型。 5. 根据你的需求,进行其他参数的配置,如数据位宽、地址位宽等。 6. 完成配置后,点击 "OK" 按钮,将双口 RAM IP 核添加到设计中。 7. 连接适当的时钟和控制信号,并进行其他必要的连接。 8. 生成 Bitstream 并下载到目标设备中进行仿真或部署。 需要注意的是,根据你提供的引用中的信息,在仿真中可能只使用了一个端口进行操作。因此,在使用 Vivado 的双口 RAM IP 核时,你可能需要根据具体的应用需求和设计要求确定是否需要使用两个端口进行操作。 : 使用 Vivado 软件中的 RAM 的 IP 核实现真双口 RAM 的仿真 : Vivado 的双口 RAM 的 IP 核是通过 Block Memory Generator 产生的,其中包含单口 RAM、简化双口 RAM 和真双口 RAM : Xilinx 官方例程中使用寄存器构建了一个真双口 RAM 的模块,并提供了相应的代码示例。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

耐心的小黑

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值