时序约束(一):时钟约束

时序约束之时钟约束
问题:1.编译完工程后,发现时序严重违例。
在这里插入图片描述
2.查看时钟发现,输入时钟为1000M,需要对时钟进行约束。
在这里插入图片描述
约束方法为:
1.选中CLK_50M信号,然后选中红框中的时钟编辑
在这里插入图片描述
2.进入到该界面,就可以对输入时钟进行设置了,因为时钟为50M,所以设置时钟周期为20ns,rising和falling分别设置为10ns和20ns,以产生占空比为50%的方波。
在这里插入图片描述
3.设置完成后,进入下面的界面, 点击updata timing netlist即可更新时序网表。
在这里插入图片描述
4.然后拖动往下双击write sdc file,编写约束文件
在这里插入图片描述
5.为保证时序约束文件和工程名一致,将.out后缀可以删掉
在这里插入图片描述
然后点击ok后,将工程重新编译就可以完成输入时钟的约束。

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值