FPGA 时序约束 二 :创建时钟和时钟不相关约束

创建时钟是针对代码中主时钟而言,创建时钟之前需要知道代码中的主时钟都是什么,可以在综合以后,打开综合,然后在TCL 中输入命令:

report_clock_networks –name mynetwork  

确定了主时钟,就可以对其创建时钟周期约束:

情形1:主时钟之间有明确的相位关系

-waveform不仅确定了时钟的占空比,也确定了时钟之间的相位关系。

(1)clka频率为200Mhz,等占空比。(初始化为1,waveform不是从0开始)

(2)clkb频率为100Mhz,占空比为40:60。

(3)clkc频率为200Mhz,等占空比,时钟抖动为120ps。

下面这些指令,可以在tools --- language templates  ---- XDC   里面对应查找


create_clock -name <clock_name> -period <period> [get_ports <clock port>]

create_clock -period 8 -name i_x1_sys_clkp0  [get_ports i_x1_sys_clkp0]


          

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值