关于FPGA被乘数为定点数的乘法的3种写法:乘法、移位和位拼接

博客探讨了在FPGA设计中,如何通过分配律将常数乘法转换为移位和位拼接的加法运算,以减少资源消耗和改善时序违例。对比了直接乘法、移位运算和位拼接三种方法在资源和时序上的差异,显示移位和位拼接在某些方面优于直接乘法。尽管有优化,但当加法数量增多时,实际效果仍有待进一步验证。
摘要由CSDN通过智能技术生成

FPGA中大位宽数的乘法比较耗费资源,且不利于时序收敛。这里仅仅讨论:变量×常数.

利用分配律,乘法可以被写为加法,如 b=a×6 = a×4 + a×2 .
在二进制中,4和2都是可以通过移位来进行的,在verilog中还可以通过位拼接符号来进行操作。
所以,总能写成:b = a× (c0 ×1 +C1× 2 + c2× 4 + c3 × 8+…)
这样,常数乘法就写成加法了,而加的每一项只需要通过移位就能实现。

实际上,除数为常数的除法也能这么表示,如b=a÷6 =a×(1/6),
而,1/6 =0.16666666666666666666666666666667,其二进制表示为 0.0010101010101010101010101010101010101010101010101010101。
二进制小数乘法,小数点左边就是左移,右边自然就是右移了。
当然我这里精度取得有点高,实际位数根据误差需求取。

1. 直接写乘法

代码中的某个计算中直接写乘法:

reg[35:0] a,b;
b<= a * 6 ;

消耗资源:
请添加图片描述lut 252 ,ff 268

时序违例情况:
请添加图片描述
最差来到 -1.648ns

2.使用移位运算

整个代码文件只改变该乘法的写法,其他均保持不变。

reg[35:0] a,b;
b<= a<<2 + a<<1 ;

消耗资源:
在这里插入图片描述
lut 217, ff 268

时序违例:
请添加图片描述
最差时序来到了 -0. 630ns

3. 位拼接

代码:

reg[35:0] a,b;
b<= { a[33:0],2'b00} + {a[33:0],2'b00 } ;

资源消耗:
请添加图片描述
lut 217 ,ff 268

时序违例:
请添加图片描述
最差到 -0.630ns

4总结

上述三段代码只修改了同一个地方的乘法的写法,最终的综合布线结果是有差异的,说明这3种写法效果是不同的。

资源消耗情况: 三中写法的FF是相同的,因为这仅取决于定义变量的位宽;但是组合逻辑资源LUT不同,直接乘法消耗的多一些(252),而移位和位拼接消耗更少(217).
时序违例情况 移位和位拼接的最差来到-0.630ns,相比直接写乘法的-1.648ns,好了很多。
显然 ,上述这种把乘法改为基于移位或者位拼接的加法运算无论对资源控制还是时序控制是有一定优化效果的,但是更多的情况有待继续验证,如当修改后的加法数量很多时,如a x 15=a x (8+4+2+1),由于加法器数量太多,实际效果有待验证。

补充一点

单独生成一个乘法器来验证时,上述3个的资源消耗情况是相同的,但是在嵌入具体的代码中时,表现是有差异的,所以验证不能光只写一个乘法运算来进行。

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值