verilog中 两个always语句块并非纯并行执行

本文讨论了Verilog代码中always块的执行顺序,通过一个实例展示了当rst_n为上升沿时,如何因为always块的顺序导致变量A无法获取到B的旧值。在仿真过程中,正确理解代码执行顺序对于避免逻辑错误至关重要。
摘要由CSDN通过智能技术生成

       

仿真的时候发现结果怎么都不对,最后互换了always块的顺序才解决问题

比如下面这段代码

 always  @(posedge clk or negedge rst_n)begin
        if(rst_n==1'b0)
            B = 0;       
        else  
            B = 1;
    end

always  @(posedge clk or negedge rst_n)begin
        if(rst_n==1'b0)
            A = 0;
        else 
            A =  B;
        end

 假设B初始值为0,上面的代码在上升沿来临时,会先给B赋值再给A赋值,A不会得到上升沿之前B的值0,而是得到上升沿之后B的值1,这就导致了两个语句是顺序执行的。

评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值