Verilog的顺序和并行浅谈

Verilog的顺序和并行浅谈

1、在always块与块之间是并行的,always块与initial块之间是并行的。
2、always块如果是用非阻塞(<=)是并行的;如果是用阻塞(=)是顺序的;

always@(posedge clk)
begin
 a <= b;
 c <= a;
end
always@(posedge clk)
begin
 a = b;
 c = a;
end

假设初始值a=0,b=1
在第一段代码中,两条代码并行同时执行,a的值为b的值(1),c的值与a没变化之前值相同(0)。
在第二段代码中,a的值为b的值(1),c的值为a变化后的值(1),此处取值有先后顺序

总结:在阻塞赋值中,顺序执行,而非阻塞赋值中是所有语句同时执行。

  • 6
    点赞
  • 22
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Verilog中,串行和并行是两种不同的数据传输方式。 并行数据传输是指同时传输多个数据位。在Verilog中,使用一个向量来表示并行数据,向量的每个位对应一个数据位。并行数据可以同时传输多个数据位,速度较快,但需要更多的引脚和线路。 串行数据传输是指逐位传输数据。在Verilog中,使用一个标量来表示串行数据,每次只能传输一个数据位。串行数据传输只需要一个引脚和线路,但传输速度较慢。 因此,串行和并行的区别在于数据传输的方式和速度。并行传输可以同时传输多个数据位,速度较快,但需要更多的引脚和线路;而串行传输逐位传输数据,速度较慢,但只需要一个引脚和线路。 #### 引用[.reference_title] - *1* *2* [verilog手撕代码4——串行数据和并行数据转换](https://blog.csdn.net/qq_42135020/article/details/130385157)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [verilog并行数据转换为串行输出](https://blog.csdn.net/weixin_61892350/article/details/130260117)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值