verilog的并行性

verilog的并行性

verilog语言所实现的电路是并行执行的,对应于所写的模块所反映的便是:

  • 不同的always语句都是可以相互独立并且并行工作的。
  • 同一个always语句内不同的逻辑处理都是并行的。
always @(posedge clk)begin
  if(rst_en)begin
  end
  else begin
    reg1 <= 输入值;
    reg2 <= reg1;
  end
end

上面两个值是并行执行的。
在这里插入图片描述
假设输入值以上面那样变化,寄存器初始值复位值均为0。
那么,寄存器均在时钟上升沿开始变化,所以在第一个上升沿的时候,输入值为0,寄存器1将0赋予自己。同时对于寄存器2来说,由于同时发生,寄存器2得到的寄存器1的值也为0,所以就造成图上这种均慢了一拍的效果。

  • 1
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值