Vivado+Verilog学习记录(一)

本文记录了Vivado中使用Verilog进行FPGA开发的学习过程,包括LED测试时遇到的引脚错误,PLL测试中关于BUFG和ILA的注意事项,以及RAM测试中的计数与溢出问题。通过实例详细阐述了每个测试的解决方案和学习心得。
摘要由CSDN通过智能技术生成

1. led_test

`timescale 1ns / 1ps
module led_test(
    input clk,
    input rst,
    (* MARK_DEBUG="true" *)output reg[3:0] led
    );
    (* MARK_DEBUG="true" *)reg[31:0] counter;
    always@(posedge clk or negedge rst)
    begin
        if(!rst)
            begin
                led <= 4'd0;
                counter <=0;
                
            end
        else if(counter > 32'd 24_999_999)
            begin
               
                counter <=32'd0;
                 led <= ~led;
            end
         else
            begin
                led <=led;
                counter <= counter + 32'd1;
                
            end
            
    end
endmodule

这是很简单的一个led测试,通过计数器产生1s的延时,led反转并重新计时。

遇到的问题:

(1) 仿真时,代码是没有问题的,在实际的测试验证中,发现时钟一直置为高位,检查时钟,发现时钟没有问题,最后的排查为引脚分配错误,将复位的引脚和时钟引脚接反了。

(ps. 在检查的时候一定要检查引脚分配,尤其是时钟不正常的时候!)

2. pll_test

`timescale 1ns / 1ps
module pll_test(
    input clk,
    input rst,
    output clk_out,
    output   reg led_first_out,
    output  reg led_second_out
    );

wire locked;
wire clk_buf;
wire clk_50M;

reg[31:0] first_counter;
reg[31:0] second_counter
  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 基于VivadoVerilog频率计是一种能够实时测量信号频率的设计。Verilog是一种硬件描述语言,Vivado则是一种流行的FPGA设计工具,可以用于设计和实现数字电路。 在设计Verilog频率计时,首先需要定义输入和输出信号的端口。输入信号是要测量频率的信号,输出信号是计算得到的频率。然后,可以使用计数器来实现频率计的功能。 在Verilog中,可以使用时钟边沿触发的计数器来计算输入信号的周期。通过计算多个时钟周期内的计数值,可以得到输入信号的频率。 具体实现时,可以使用一个寄存器来存储当前的计数值,然后在每个时钟周期上升沿触发时,将计数值加1。同时,可以使用一个计数使能来控制计数器何时开始和停止计数。 当计数器停止计数后,可以通过除法器将计数值转换为频率值。频率值可以表示为每秒钟的周期数,即输入信号的频率。 最后,将计算得到的频率值输出到输出端口,以便外部系统可以读取并使用该频率值。 总的来说,基于VivadoVerilog频率计的设计思路是通过计数器对输入信号的周期进行计数,并将结果转换为频率值输出。这种频率计可以广泛应用于需要实时测量信号频率的电子系统中。 ### 回答2: 基于vivadoverilog频率计是一种可以测量信号频率的电子设备。它是通过使用Xilinx的Vivado开发工具以及Verilog硬件描述语言来设计和实现的。 频率计的设计需要包括三个主要部分:输入模块、计数器和输出模块。输入模块用于接收待测频率的信号,并将其转换为数字信号供计数器使用。计数器是核心部分,用于记录输入模块接收到的信号的脉冲数量。输出模块则用于显示计数器输出的频率数值。 在Verilog中,可以使用时钟信号来驱动计数器的计数过程。计数器会在每个时钟周期内对输入信号进行检测,并根据信号的脉冲数量来进行计数。同时,一个定时器可以配置为在一定时间间隔后停止计数,这样可以得到精确的频率数值。 设计频率计时,还需要考虑一些技术细节。例如,输入模块可以包含一个触发器,用于检测上升沿或下降沿信号,并将其转换为可供计数器使用的脉冲信号。此外,计数器需要具备足够宽度的寄存器,以保存大量的计数值,并避免溢出现象。 最后,频率计的输出模块可以通过连接显示屏或者其他显示设备,将计数器输出的频率数值显示出来。输出模块可以使用数字显示模块,将计数器的数值转换为人类可读的频率数值。 总而言之,基于vivadoverilog频率计是一种通过使用Vivado开发工具和Verilog硬件描述语言设计和实现的电子设备,能够测量信号的频率。通过输入模块接收信号,计数器进行计数,最后通过输出模块将频率数值显示出来。 ### 回答3: 基于vivadoVerilog频率计是一种用于测量输入脉冲信号频率的电子设备。它可以通过计数输入信号的上升沿或下降沿来确定信号的频率。此频率计由Verilog语言编写,并使用Xilinx的Vivado开发环境进行仿真和综合。 首先,我们需要定义计数器模块。计数器模块包括一个触发信号输入端(例如,上升沿触发)和一个输出端,用于输出频率测量结果。 ```verilog module counter #(parameter WIDTH = 8)( input wire clk, input wire rst, input wire trigger, output reg [WIDTH-1:0] count ); always @(posedge clk or posedge rst) begin if (rst) count <= 0; else if (trigger) count <= count + 1; end endmodule ``` 然后,我们需要一个顶层模块来实例化计数器,并连接输入信号和时钟信号。 ```verilog module top_module( input wire clk, input wire rst, input wire trigger, output wire [WIDTH-1:0] count ); wire [WIDTH-1:0] count_w; counter #(WIDTH) counter_inst ( .clk(clk), .rst(rst), .trigger(trigger), .count(count_w) ); assign count = count_w; endmodule ``` 最后,我们可以仿真和综合此Verilog代码。在Vivado中,我们可以使用IP Integrator设计模式,选择我们的顶层模块,并将其与CLOCK和TRIGGER信号连接。然后,我们可以进行综合和烧录,以在FPGA上运行我们的频率计。 总而言之,基于vivadoVerilog频率计是一种用于测量输入脉冲信号频率的电子设备。它可以通过对信号的上升沿或下降沿计数来确定信号的频率。通过使用Verilog语言编写代码,并在Vivado中进行仿真和综合,我们可以实现一个准确的频率计。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值